Selasa, 24 Januari 2017

Schematic Rangkaian Gerbang Logika Full Adder



Gerbang logika adalah suatu entitas dalam elektronika dan matematika Boolean yang mengubah satu atau beberapa masukan logik menjadi sebuah sinyal keluaran logik. Gerbang logika terutama diimplementasikan secara elektronis menggunakan diode atau transistor, akan tetapi dapat pula dibangun menggunakan susunan komponen-komponen yang memanfaatkan sifat-sifat elektromagnetik (relay), cairan, optik dan bahkan mekanik.

Gerbang Logika beroperasi berdasarkan sistem bilangan biner yaitu bilangan yang hanya memiliki 2 kode simbol yakni 0 dan 1 dengan menggunakan Teori Aljabar Boolean.

Rangkaian Adder (penjumlah) adalah rangkaian elektronika digital yang digunakan untuk menjumlahkan dua buah angka (dalam sistem bilangan biner), sementara itu di dalam komputer rangkaian adder terdapat pada mikroprosesor dalam blok ALU (Arithmetic Logic Unit). Sistem bilangan yang digunakan dalam rangkaian adder adalah :
  • Sistem bilangan Biner (memiliki base/radix 2)
  • Sistem bilangan Oktal (memiliki base/radix 8)
  • Sistem bilangan Desimal (memiliki base/radix 10)
  • Sistem bilangan Hexadesimal (memiliki base/radix 16) 



Full adder merupakan rangkaian elektronika yang menjumlahkan 2 bilangan yang telah dikonversikan kedalam bilangan biner dengan menjumlahkan 2 bit input ditambah dengan nilai carry-out dari penjumlahan bit sebelumnya. outputnya adalah hasil dari penjumlahan (sum) dan bit kelebihannya (carry-out).

Full Adder adalah sebuah rangkaian digital yang melaksanakan operasi penjumlahan aritmetikadari 3 bit input. Full adder terdiri dari 3 buah input dan 2 buah output. Variabel input dari Fulladder dinyatakan oleh variabel A, B dan C in. Dua dari variabel input ( A dan B ) mewakili 2 bit signifikan yang akan ditambahkan, input ketiga, yaitu C mewakili Carry dari posisi yang lebih rendah. Kedua output dinyatakan dengan simbol S (Sum) dan Cn (Carry). 


Rangkaian Full-Adder mampu menampung bilangan Carry dari hasil penjumlahan sebelumnya. Jadi jumlah inputnya ada 3: A, B dan Ci, sementara bagian output ada 2: S dan Co. Ci ini dipakai untuk menampung bit Carry dari penjumlahan sebelumnya. Full adder biasanya dapat menjumlahkan banyak bilangan biner dimana 8, 16, 32, dan jumlah bit biner lainnya. Pada Sum digunakan gerbang logika Ex-OR dan pada carry digunakan gerbang logika AND danmenggunakan gerbang logika OR untuk menjumlahkan tiap-tiap carry.2. Tabel Kebenaran FULL ADDER mempunyai tiga input. Rangkaian di bawah ini menunjukan rangkaian FULLADDER yang disertai dengan truth tablenya.C B A A (+) B S AB (A(+)B) C

Tahap membuat rangkaian Full Adder menggunakan aplikasi Proteus :


1. Buka aplikasi Proteus dengan kategori ISIS yaitu bagian schematic rangkaian yang ada pada menu dibagian atas aplikasi.
2. Cari komponen atau gerbang yang dibutuhkan yaitu xor, and, or dengan mengklik "P" pada bagian kiri aplikasi
3. Susun gerbang-gerbang agar menjadi rapi dan mudah dilihat
4. Sambungkan gerbang tersebut dengan benar menggunakan wire
5. Beri keterangan dengan simbol A atau word Art agar lebih jelas

Tidak ada komentar:

Posting Komentar